Сделай Сам Свою Работу на 5

Назначение типов ПЛИС и выводов ПЛИС.





Государственное бюджетное образовательное учреждение

Среднего профессионального образования Свердловской области

“Автоматика”

Кафедра

«Компьютерные системы и комплексы»

Курсовая работа

на тему:

«Демультиплексор на 4 выхода»

по дисциплине:

«Электронно вычислительная техника»

Выполнил: Группа: Проверил: Степанов Д.И. КСК-21с

Екатеринбург 2013 г.


Аннотация

В данной работе разрабатывается функциональная схема четырёхразрядного сдвигового регистра с помощью системы автоматизированного проектирования MAXPLUS+ II, электрическая и принципиальная схема с помощью программы SPLAN и корпус устройства с помощью программы ORCAD.


Содержание

 

1. Техническое задание. 4

2. Анализ технического задания. 5

3. Создание схемы проекта. 6

4. Компиляция и создание символа проекта. 7

5. Создание проекта и символа комбинационной схемы. 8

6. Назначение типов ПЛИС и выводов ПЛИС. 11

7. Завершение работы. 13

8. Электрическая и принципиальная схема. 15

9. Описание работы электрической и принципиальной схемы. 16

10. Заключение. 17

11. Литература. 18


1. Техническое задание.



  1. Разработать мультиплексор на 4 выхода.
  2. Спроектировать функциональную, электрическую и принципиальную схему.
  3. Спроектировать корпус.

2. Анализ технического задания.

Демультиплексор одного сигнала на четыре выхода имеет два управляющих входа (a0 и a1), один информационный вход (x) и четыре выхода (y0, y1 ,y2 ,y3). В зависимости от состояния управляющих входов вход демультиплексора соединяется с одним из четырёх его выходов. Сделаем так, чтобы вход присоединялся именно к тому выходу, индекс которого равен двоичному числу, определяемому логическими переменными a0 и a1. Переменная ai может принимать значения либо 0, либо 1. Исходя из этого, составим таблицу возможных состояний управляющих входов:

 

 

Таблица 1.1

Число в двоичной системе Число в десятичной системе
a0 a1 Индекс выхода

 

Дальше мы приведём таблицу переключений:

Таблица 1.2

a0 a1 y0 y1 y2 y3
0 0 0 1 1 0 1 1   x 0 0 0 0 x 0 0 0 0 x 0 0 0 0 x

 

Зная таблицу истинности, мы можем составить логическую функцию (вернее совокупность четырёх логических функций), которая соответствовала бы таблице 1.2.



Для составления подобного рода функций её записывают в дизъюнктивной нормальной форме:

1. В таблице истинности выделяют строки, в которых выходная переменная (т.е. yn) имеет значение 1(в данном случае x).

2. Для каждой такой строки составляют конъюнкцию всех входных переменных, причём записывают сомножитель ai, если переменная принимает значение 1, и āi если переменная принимает значение 0. Таким образом составляется столько произведений, сколько имеется строк с yn=1(в данном случае x).

3. Затем записывают логическую сумму всех произведений, относящихся к каждому yn. (В нашем случае этого не требуется, поскольку в каждом столбце у переменной yn есть только одно значение).

Следуя вышеизложенному плану, получаем следующую совокупность логических функций:

y0= ā0 & ā1 & x

y1= ā0 & a1 & x

y2= a0 & ā1 & x

y3= a0 & a1 & x

Зная данную совокупность логических функций, можно построить логическую схему.

Для проектирования функциональной схемы выбрана программа MAXPLUS+ II фирмы «ALTERA», т.к. она обладаем необходимыми функциями. Для проектирования электрической схемы выбрана программа SPLAN фирмы «ABACOM», т.к. она обладает необходимыми функциями. Для проектирования корпуса устройства выбрана программа ORCAD фирмы «Cypress Software», т.к. она обладает необходимыми функциями.


3. Создание схемы проекта.

Создание схемы проекта целесообразно начинать с размещения узлов входов и выходов и присвоения этим узлам имён. В нашем случае необходимо разместить информационные входы a0 и a1, управляющий вход x. Используем для этой цели библиотеку примитивов, которая содержит примитивы INPUT (для входов) и OUTPUT (для выходов). Каждому вводу должно быть присвоено своё имя. Для этого необходимо дважды щёлкнуть мышью на поле (входа/выхода), в появившемся чёрном прямоугольнике набрать новое имя и нажать клавишу ENTER. Переход к набору имени следующего элемента ввода может осуществляться по нажатию клавиши ENTER.



Логика разрабатываемого проекта также может быть реализована с использованием примитивов четырёх элементов DFF. В описанном выше порядке размещаем требуемые элементы и выполняем необходимые соединения.

Рис. 1. Готовый проект устройства 4х-выходного демультиплексора.

Заметим, что предложенный вариант реализации логики устройства – не единственный. Также можно реализовать этот проект с использованием языка AHDL или мегафункции LPM_OR.


4. Компиляция и создание символа проекта.

Следующий этап – компиляция и создание символа проекта для включения его в файл проекта верхнего уровня. Перед компиляцией можно выполнить проверку корректности введённого проекта. Проверка осуществляется через подменю «Project» (меню «File» главного меню рабочего окна) путём выбора пункта «Save&Check» или щелчком левой кнопки мыши на пиктограмме соответствующего инструмента основной панели инструментов.

Компиляция осуществляется также через подменю «Project» путём выбора пункта «Save&Compile» или опять же с помощью соответствующего инструмента основной панели инструментов.

Рис. 2 Вид окна компилятора после успешного завершения компиляции.

По результатам компиляции процессор сообщений проинформирует о том, что для реализации данного проекта могут быть использованы ПЛИС семейства «MAX7000», а именно ПЛИС типа «EPM 7032LC44-6» (в корпусе PLCC с 44 выводами).

Создание символа проекта осуществляется через подменю «Project», в котором следует выбрать пункт «Create Default Symbol» – этот пункт становится доступным только после закрытия окна компилятора. Созданный символ будет помещён в каталог проекта. Использование созданных символов, так же как и элементов других библиотек, производится через диалоговое окно «Enter Symbol».


5. Создание проекта и символа комбинационной схемы.

Следующий шаг – создание проекта и символа комбинационной схемы.

Таблица 1

Перечень состояний комбинационной схемы

Состояние входов Состояние выходов
A0 A1 X Y0 Y1 Y2 Y3
Z Z
Z Z
Z Z
Z Z

Здесь Z является определенным состоянием – 0 или 1.

Для создания проекта этого устройства используем редактор временных диаграмм («Waveform Editor»). Для этого следует нажать кнопку открытия нового файла на панели инструментов, в открывшемся диалоговом окне «New» отметить пункт «Waveform Editor file», в соседнем окне выбрать расширение .WDF (расширение .SCF используется для моделирования) и нажать «ОК», после чего открывается окно редактора.

Окно редактора имеет четыре поля, разделённых вертикальными линиями. Первое поле слева («Name») предназначено для ввода имени узла, во втором поле («Type») отображается тип ввода (INPUT, OUTPUT, BIDIR), в третьем поле («Value») показаны состояния выводов, соответствующие положению специальной вертикальной визирной линии, которая при открытии окна установлена в начало горизонтальной оси, размеченной в единицах времени.

Для перемещения визира в нужное место необходимо совместить курсор с вершиной визира (при этом курсор примет крестообразную форму) и при нажатой левой кнопке мыши перетащить визир в нужное место.

Четвёртое поле предназначено для задания требуемых состояний выводов, при этом используются инструменты с панели инструментов редактора, которая расположена вертикально вдоль левой стороны окна. Активизация панели инструментов происходит только в том случае, если выделен один из узлов. Чтобы выделить узел, необходимо щёлкнуть левой кнопкой мыши на имени узла, можно также выделить любой участок вдоль горизонтальной оси, при этом границы выделяемых участков привязываются к сетке.

Параметры сетки устанавливаются следующим образом: с помощью пункта «End Time» (меню «File») задаётся максимальное значение временного интервала с указанием единиц измерения, а с помощью пункта «Grid Size» (меню «Options») – шаг сетки. В верхней части экрана расположены окна для точного отсчёта интервалов времени.


Сохраним созданный файл в каталоге \MOD_IND под именем CONV_BIN_HEX и присвоим проекту имя созданного файла (через подменю «Project» меню «File» главного меню рабочего окна, путём выбора пункта «Set Project to Current File»).

Для ввода имени узла можно использовать пункт «Insert Mode» меню «Node».

Рис. 3. Диалоговое окно пункта «Insert Mode».

В верхнее поле «Node Name» необходимо ввести имя узла, в окне «I/O Type» указать тип вывода и нажать «ОК». Кроме того, имена узлов можно вводить другим способом. Для этого необходимо щёлкнуть левой кнопкой мыши в поле «Name», при этом автоматически открывается диалоговое окно «Insert Mode».

Размещённые узлы можно редактировать, перемещать, удалять, размножать (с обязательным редактированием имени или типа, если это необходимо). Для редактирования используется то же диалоговое окно «Insert Mode». Остальные операции осуществляются аналогично тому, как это делается в графическом редакторе.

В нашем случае необходимо задать состояния 3-х входов и состояния 4-х выходов, соответствующие каждому состоянию входов. Например, установив значение «End Time» 280 нс, а «Grid Size» – 20 нс, получим 14 дискрет с шагом сетки 20 нс. После этого последовательно выделяем узлы и дискреты и с помощью панели инструментов задаём требуемые состояния входов и выходов.


Рис. 4. Введённый файл проекта.


Назначение типов ПЛИС и выводов ПЛИС.

Тип ПЛИС, необходимый для реализации проекта, может быть выбран автоматически или назначен вручную. При создании файла проекта по умолчанию установлен режим автоматического выбора минимальной по объёму ПЛИС, в которой может быть реализован данный проект. При необходимости тип ПЛИС может быть назначен вручную с помощью пункта «Device» (меню «Assign» основного меню системы). После назначения устройства проект необходимо перекомпилировать.

Выводы ПЛИС также первоначально назначаются автоматически. После завершения работы над проектом необходимо закрепить или переназначить выводы ПЛИС, для того чтобы при возможной последующей доработке (отладке) проекта в составе всего изделия компилятор не мог изменить их назначение. Эта операция выполняется с помощью редактора «Floorplan Editor», который запускается либо через меню «MAX+plus II» (в основном меню), либо через панель инструментов.

Рис. 5. Окно редактора связей «Floorplan Editor» (при установленном режиме отображения текущих назначений выводов).

С левой стороны окна имеется панель инструментов, среди которых имеется две кнопки: одна из них устанавливает режим отображения результатов компиляции (...last compilation), вторая – режим отображения текущих назначений выводов (...current assignments). Напомним, что назначение кнопок панелей инструментов поясняется в строке подсказки в нижней части окна.


Для назначения или закрепления выводов необходимо мышью перетащить имена выводов, отображаемых в окне «Unassigned Nodes&Pins», на соответствующие номера выводов ПЛИС, а затем перекомпилировать проект. После этого любые изменения, вносимые во внутреннюю структуру проекта, т.е. не связанные с добавлением или удалением выводов, не будут изменять назначение выводов. Заметим, что ПЛИС семейства «MAX 7000S» (а также некоторые другие) имеют встроенную систему программирования ISP, которая использует четыре вывода: #TDI, #TDO, #TMS и #TSK. Эти выводы не должны использоваться для других целей.


7. Завершение работы.

Все результаты работы над проектом фиксируются в файле с расширением .RPT, который представляет собой обычный текстовый файл и содержит подробное описание реализованного проекта, в том числе и описание назначения выводов, необходимое для разработки принципиальной схемы целевого устройства. Файл .RPT можно открыть через меню «File» или нажатием соответствующей кнопки на панели инструментов.

Для завершения работы над проектом необходимо провести функциональное моделирование. Для этого необходимо создать исходный файл с расширением .SCF, задать тестовые (эталонные) состояния входов, выбрать проверяемые выходы и запустить «Simulator».

Для создания исходного файла необходимо открыть новый файл, в диалоговом окне «New» отметить пункт «Waveform Editor file», установить в соседнем поле окна расширение .SCF и нажать «ОК», а затем сохранить его через меню «File» основного меню. После этого необходимо связать файл с проектом. Для этого необходимо войти в меню «Node» и выбрать пункт «Enter Nodes from SNF».

Рис. 10. Диалоговое окно «Enter Nodes from SNF»

После нажатия кнопки «List» в левой панели диалогового окна «Enter Nodes from SNF» появляется список доступных узлов («Available Nodes&Groups»), выделенный синим цветом, который необходимо перенести на правую панель («Selected Nodes&Groups»), для чего необходимо нажать кнопку со стрелкой, расположенную между панелями. После нажатия кнопки «ОК» в окне редактора появляется готовый шаблон (заготовка) для задания тестовых состояний входов.


Перед началом ввода тестовых состояний необходимо в порядке, описанном выше, задать длительность интервала моделирования и установить шаг сетки с учётом временных параметров реальных сигналов, которые будут подаваться на вход ПЛИС в целевом устройстве.

«Simulator» может быть запущен либо через меню «MAX+plus II» (в основном меню), либо через панель инструментов, при этом открывается диалоговое окно с кнопками «Start» и «Open SCF». Для начала моделирования необходимо нажать кнопку «Start», а для просмотра результатов – «Open SCF».

Рис. 7. Фрагмент файла с результатами функционального моделирования.

Для определения временных соотношений используется приложение «Timing Analyzer», которое запускается так же, как «Simulator». Результаты расчёта временных задержек отображаются в виде таблицы «Delay Matrix».

Рис. 8.Фрагмент таблицы «Delay Matrix».

 








Не нашли, что искали? Воспользуйтесь поиском по сайту:



©2015 - 2024 stydopedia.ru Все материалы защищены законодательством РФ.