Сделай Сам Свою Работу на 5

Прямой вход Обратный вход





Таблица состояний

  Такт tn Такт tnн
Sп Rп Qп Qпн
н/0
н/0

Следующие две строки (3 и 4) – перевод триггера в Q, т.е Qпн=0, независимо от состояния Qп (Qп=0 или Qп=1), потому что R=1, а S=0.

Последующие две строки (5 и 6) – перевод триггера в 1, т.е Qпн=1, независимо от предыдущего состояния Qп (Qп=0 или Qп=1), т.к S=1, а R=0.

Две последние строки таблицы называют состоянием неопределенности.

Если на S и R входы подать лог 1, то на обоих выходах Q и Q появятся нули. Если теперь одновременно снять 1 со входов R и S, то оба элемента ИЛИ-НЕ начнут переключаться в единичное состояние, каждый стремясь при этом оставить своего «партнера» в нуле. Какой партнер одержит в этом «поединке» победу будет зависеть от их коэффициентов усиления, скорости переходных процессов и ряда других неизвестных заранее факторов. Для разработчика схемы результирующее состояние триггера оказывается неопределенным, поэтому комбинация R=1, S=1 считается запрещенной, и в обычных условиях её не используют. В некоторых справочниках эту комбинацию даже называют неустойчивой, хотя пока она держится на входах, схема вполне устойчива. Комбинацию R=1, S=1 допускается применять только когда, обеспечено не одновременно, а строго определенное снятие 1 с R и S входов.



 
    н/0
  н/0

 

 
     
   

По таблице состояний триггера так же как и по таблице истинности комбинационных схем, можно построить карту Карно. В двух последних строчках таблицы состояний отражена неопределенность состояния триггера не во время подачи лог 1 на входы R и S, а после одновременного снятия лог 1 с этих входов. Пока же на входы R и S подана лог 1 состояние выходов определено. Предположим что в этом состоянии Q и , тогда карта Карно примет вид. После минимизации из карты Карно запишем

 

Схема, построенная по этому выражению приведена выше (схема с прямыми входами).

 

Если в карте Карно вместо состояния неопределенности и , то получим следующую карту Карно. После минимизации получим выражения



 

 
    1
  1

 

 

 

 

Схема, составленная по этому булеву выражению по этому является базовой для RS в ТТЛ триггерах (Схема с инверсными входами).

       
 
   
 


&

 


Вопрос 18. Асинхронный JK- триггер. Синхронный JK- триггер.

 

К   K
MASTER - хозяин
J   K
T
S R
T
S R
 
 

В отличие от RS триггеров JK триггеры не боятся когда на оба их входа поступает лог 1 – они при этом переключаются в состояние, противоположное текущему.

В данном JK триггере изменение состояния происходит с задержкой, равной длительности входных сигналов, вызывающих переход в новое состояние. Роль задержки выполняет второй RS триггер, который в отсутствие входных лог 1 копирует, повторяет состояние первого RS триггера, а в присутствии хотя бы одной лог 1 на входах он «отключается» от первого RS триггера и сохраняет свое состояние. Чтобы подчеркнуть, что в данный JK триггер входит два RS триггера в обозначении ТТ.

 

 
   
   

 

 
J K

 

Синхронный двухступенчатый JK триггер.

Сигналы на выходах J, K являются разрешающими, подготовительными, а сигнал на входе С – исполнительный, по которому происходит переключение триггера С –(CLOCK – часы)/

 

 

 

1.При J=0 (какой-либо из J1, J2, или J3=0, J1*J2*J3=0) и К=0 (К1К2К3=0) сигнал лог 1 на С входе не может открыть входные логические элементы И-НЕ, триггер не меняет своего состояния – режим хранения.



 

2.При J=1, К=0 первый RS триггер М переключается в 1 (QM=1) при поступлении на С вход лог 1 (фронт С01), а второй RS триггер S переключателей в 1 (QS=1) при фронт С10 [режим установка].

3. При J=0, K=1 QM→0 по С01, QS→0 по С10. [сброс]

4. При J=K=1 сигналы с выходов открывают для С именно тот ЛЭ И-НЕ, пройдя через который он переключает триггер в противоположное положение в два этапа: сначала при С01 переключается QM, а затем по С10 – QS [счетный режим, или Т режим].

 

Триггер непрозрачен, т.е. сигналы, поступающие на входы J и K не проходят сразу же на выход QS триггера, как это происходит в синхронных RS и D триггерах, рассмотренных ранее.

C C J K Qn+1
x x Qn
 
 
      Qn

В этом триггере видны параллельные пути распространения фронтов сигнала со входа С, которые являются причиной гонок. Чтобы микросхемы таких JK триггеров не давали сбоев, в них опасность гонок ликвидируется за счет или нормирования минимальной задержки М ступени, или специально введенный гарантированный разницы в порогах срабатывания инвертора и входных схем И-НЕ ступени М. Делают так, чтобы инвертор переключался при более низком уровне С- сигнала, чем входные схемы И-НЕ, и тогда нужная последовательность срабатывания инвертора и М ступени обеспечивается за счет конечной длительности фронтов С сигнала. Инвертор реагирует на фронт С01 сигнала раньше, а на его С10 позже, чем это делает М-ступень.

 

 

 


Вопрос 19. Асинхронный входы синхронных триггеров. Схемы взаимного преобразования триггеров.

 

Все синхронные триггеры имеют один или два асинхронных входа R и S прямые или инверсные, причем эти RS асинхронные входы имеют наивысший приоритет в своем воздействии на триггер по отношению ко всем основным входам триггера.

В двухступенчатом триггере каждый асинхронный вход R или S воздействует сразу на триггеры обеих ступеней, а также и на одну из входных схем и исключая возможность противодействия с их стороны сигналам с входов R и S.

 

Схемы взаимного преобразования триггеров.

R C S
R C S
I C K
I C K
D   C
I C K
T
T
T
T
T
T
T
D   C
T
R C S

 


Обозначение входов синхронизации

 

Прямой вход Обратный вход

(по фронту 01 срабатывает) срабатывает по фронту 10

(по срезу импульса)

 

Триггеры со статическим управлением, т.е. прозрачные обычно называют по англ. LATH – защелка.

Триггеры с динамическим управлением (срабатывание по фронту, по срезу) или двухступенчатые т.е. непрозрачные называют обычно FLIP – FLOP (щелчок - хлопок).


Вопрос 20. Переходные процессы в лог схемах. Гонки, состязание фронтов. Три способа борьбы с гонками.

.

 

 

 
&
F
A
A
A

 

 

Задержка схемы складываются из задержек срабатывания логических элементов и задержек распределения сигнала по дорожкам платы. Последние пока учитывают только для схем на ЭСЛ. В некоторых схемах не учет задержек приводит к неправильной работе этой схемы с учетом задержек обнаруживаем на выходе импульс, помехи с длительностью близкой к tзд.р. инвертора. Линии со стрелками показывают причинно-следственные связи в цепочке переключений.

На заводе изготовителе микросхем есть выходной контроль продукции, где отбраковывают МС, у которых задержка распространения превышает допуск. Можно предположить, что микросхемы с задержкой, меньшей в 8 раз чем максимально допустимая, никогда не встретятся, но заводы изготовители такую гарантию не дают. Поэтому обычно считают, что задержка ЛЭ может быть от нуля до tзд.р. max .

 

Здесь Х – переходный процесс, или время неопределенности.

                   
   
 
   
     
 
 
 
 
 
   

&

 

 


Гонки (состязания фронтов) бывают на участках схем, где сигнал разветвляется и получившееся два сигнала распространяются по двум независимым цепочкам элементов, а затем оба сигнала «встречаются» на входах одного элемента (пример схемы см. выше). Из-за различия сумм задержек в этих цепочках на выходе схемы могут появляться импульсы помехи фронта.

Есть 3 способа борьбы с гонками:

1. Построение противогоночных схем, напр. а) при появлении в одной цепочке он запирает вторую цепочку; б) в месте встречи фронтов от двух цепочек ставят ИЛИ, через которую просто раньше проходит тот фронт, что пришел первым, т.е. помеха не возникает;

2. Учет минимального времени задержки, которое хотя нигде и не оговорено, но если одна цепочка состоит из числа N ЛЭ, которое больше числа М элементов другой цепочки, например в 8 раз (N≥М);

3. Введение синхронизации (тактирования) – является самым универсальным способом. Поэтому большинство МC последовательных устройств делают синхронными.


Вопрос 21. Двоичные счетчики. Определение, условное графическое обозначение и классификация.

Счетчиком называется устройство, код на выходах которого отображает число импульсов, поступивших на счетный вход.

 

Счетчик можно назвать сумматором число-импульсного кода, поступающего на его вход. Иногда счетчик называют делителем частоты. Т триггер является примером простейшего одноразрядного счетчика. Выход CR – CARRY – перенос, переполнение. Вход +1 – суммирующий счетный вход, R – общий сброс. Каждый счетчик характеризуется коэффициентом счета (модулем Ксч). Для одного триггера Ксч=2, для m-разрядного счетчика Ксч=2m. При поступлении на счетный вход импульсов состояние выходов суммирующего счетчика постепенно меняется от всех нулей до всех единиц, при поступлении следующего импульса счетчик вырабатывает сигнал переполнения CR и устанавливается в состояние всех нулей на выходах.

В общем случае код на входе счетчика соответствует числу М=Nвх-iКсч, где Nвх – число импульсов, поступивших на вход счетчика, а i=0,1,2,3,…,n.

Число импульсов на выходе переноса CR будет в Ксч раз меньше чем число импульсов на его счетном входе. Отсюда следует что частота импульсов на выходе счетчика fвых меньше частоты импульсов на его счетном входе fвх в Ксч раз:

Счетчики подразделяются:

 

1. По модулю пересчета на

а) двоичные Ксч=2m

б) двоично-десятичные Ксч=10m

в) с управляемым модулем счета

г) с постоянным произвольным Ксч

 

2. По направлению счета:

а) суммирующие

б) вычитающие

в) реверсивные

 

3. По способу организации внутренних связей:

а) с непосредственной связью (с последовательным переносом)

б) с параллельным переносом

в) кольцевые на регистрах сдвига

 

4. По способу защиты от гонок и помех на входе:

а) асинхронные

б) синхронные


Вопрос 22. Счетчик Джонсона. Схема, временные диаграммы ее работы. Преимущества и недостатки его по сравнению с кольцевыми и двоичными счетчиками

 

Это кольцевой счетчик, у которого одна из связей между триггерами сделана перекрестной, т.е. вход одного из триггеров соединен не с прямым, а с инверсным выходом предыдущего триггера .

 

В отличие от кольцевого счетчика в счетчике Джонсона по кольцу бежит волна единиц и нулей. Счетчик Джонсона тоже боится зацикливания ошибочных состояний, хотя и в меньшей степени, чем простой кольцевой счетчик. Для исправления ошибок, чтобы ошибка циркулировала в счетчике меньше половины кольца, в счетчик введены Л.Э. ИЛИ и И, которые обеспечивают возвращение счетчика к правильной работе. По каждому фронту переключается только один триггер →P↓, помехи ↓.

В отличие от предыдущего кольцевого счетчика чтобы получить унитарный код на выходах счетчик Джонсона, должен иметь в своем составе дешифратор. Каждый i-й элемент И такого линейного дешифратора имеет только 2 входа, один из которых подключен к инверсному выходу i-го триггера, а второй – к прямому выходу i-1 триггера (для двоичного счетчика такой дешифратор состоял бы из четырех входовых Л.Э. И).

 



Вопрос 23. Регистр памяти. Определения, принципы работы, условные графические обозначения, схемы.

Для запоминания многоразрядных двоичных слов необходимое число D триггеров объединяют вместе и рассматривают их как единый функциональный узел – регистр памяти. Здесь каждый триггер хранит один разряд двоичного числа (слова).

 

 

 

Назначение регистров памяти - хранить двоичную информацию. Эти регистры представляют собой набор синхронных триггеров, каждый из которых хранит один разряд двоичного числа. Ввод (запись, загрузка) и вывод (считывание) информации производится одновременно во всех разрядах параллельным кодом. Запись обеспечивается тактовым импульсом. С приходом очередного тактового импульса происходит обновление записанной информации.

На рис.1 приведена функциональная схема микросхемы К155ТМ8, которая содержит четыре D-триггера. Микросхема имеет 4 раздельных информационных входа, прямые и инверсные выходы Q и Q от каждого триггера и общие для всех триггеров выходы синхронизации и установки нулей (сброса). Запись данных, поступивших на информационные входы, происходит одновременно во всех триггерах по фронту 0,1 сигнала на входе С, при этом на входе R должен быть высокий уровень (логическая 1). Установка триггеров в нулевое состояние выполняется сигналом НИЗКОГО уровня (лог. 0) на входе R. При использовании микросхемы К155ТМ8 в качестве четырехразрядного регистра памяти она изображается как на рис.2.

 

Рис. 1. Схема регистра памяти Рис. 2. Условное графическое обозначение К155ТМ8 К155ТМ8.


 

 

Вопрос 24. Регистр сдвига. Определения, принципы работы, условные графические обозначения, схемы.

Кроме операции хранения данных регистры могут использоваться и для операции сдвига данных с целью преобразования параллельного кода в последовательный и наоборот. SHIFT REGISTER – сдвиговый регистр, сдвигающий регистр получают путем цепочечного соединения триггеров.

Сдвиг состоит в том, что по сигналу синхроимпульс происходит одновременно перезапись содержимого каждого триггера в соседний триггер. При этом не меняется само двоичное слово (число), записанное в регистре, оно лишь сдвигается вправо на один разряд, и только содержимое последнего триггера ТТ3 пропадает из регистра, а на вход первого ТТ0 поступает новый бит.

Сдвиговые регистры или регистры сдвига, которые получаются путем последовательного соединения непрозрачных D триггеров предназначены для сдвига данных с целью преобразования двоичного последовательного кода в параллельный и наоборот. Суть сдвига состоит в том, что по сигналу синхроимпульса происходит одновременная перезапись содержимого каждого триггера в соседний триггер. При этом не меняется само двоичное слово (число), записанное в регистре, оно лишь сдвигается на один разряд и только содержимое последнего триггера ТТ3 пропадает из регистра, а на вход первого ТТ0 поступает новый бит (см. рис.1).

а) б)

 

Рис.1. Регистр сдвига: а) схема на непрозрачных триггерах; б) условное графическое обозначение

Сдвигающий регистр в отличие от регистра памяти должен обязательно состоять из непрозрачных триггеров, иначе при первом же сигнале сдвига бит, поступивший на вход первого триггера регистра сдвига, сразу же пройдёт на выход этого триггера и соответственно, на вход второго триггера, а значит и на выход второго триггера и т. д. до последнего триггера регистра сдвига.

Буквенные обозначения входов означают:

P/S – PARALLEL/SERIAL – параллельная/последовательная загрузка (P/S=1 – парал.)

SIO – SERIAL INPUT 0 – последовательный вход триггера 0

SI3 – SERIAL INPUT 3 – последовательный вход триггера 3

DSL – DATA SHIFT LEFT – сдвиг данных влево

DSR – DATA SHIFT RIGHT – сдвиг данных вправо

PE – PARALLEL ENABLE – разрешение параллельной записи

 

В некоторых сдвиговых регистрах сдвиг происходит не только в одну сторону, а и влево и вправо - это так называемые реверсивные регистры.

Чтобы обеспечить реверс сдвига и параллельную запись сразу во все триггеры к D-входу каждого i-того триггера подключён мультиплексор, который при подаче " 1 " на один из управляющих входов - SL(Shift Left - сдвиг влево), SR(Shift Right - сдвиг вправо) или PL(Parallel load - параллельная загрузка) подключает вход i-того триггера соответственно к выходу младшего соседа (направление A), старшего соседа (направление B) или к выходу параллельной загрузки Рi (см. рис.2). Точка A самого младшего триггера (разряда) является входом DR, точка В самого старшего разряда - входом DL (входы DL и DR используются для наращивания разрядности реверсивных регистров). Выход i-го триггера подключён к соответствующим входам мультиплексоров соседних разрядов. По С-сигналу триггеры регистра принимают информацию с направлений, определяемых мультиплексорами.

Рис.2 Схема одного разряда реверсивного регистра.

 

Рис.3 Сдвиговый регистр с возможностью параллельного и последовательного ввода данных.

DS - Data Serial - вход для последовательного ввода данных, P/S - Parallel/Serial - выбор режима


Вопрос 25. Запоминающие устройства с неявно выраженной адресацией. Буфер Last In – First Out (LIFO, стек) – определения, структурные схемы, принципы работы.

Запоминающие устройства служат для хранения очередей данных и называются также буферными регистрами.

 

LIFO – LAST IN FIRST OUT – последним вошел первым вышел (синоним – магазин автомата, поленица дров, STACK – стек, копна)

 

 

 

Буфер типа LIFO используется, когда процесс обработки данных по одной задаче прерывается обработкой данных по другой, более срочной задаче, тогда данные прерванного задания записываются в буфер LIFO. Если же теперь текущее задание прерывается еще более срочным заданием, то данные для просто текущего задания заносятся «поверх» данных несрочного. Если теперь еще более срочное задание прервано сверх срочным, то данные для еще более срочного запишутся в буфер LIFO сверху данных просто срочного задания и т.д. Счетчик СТ здесь реверсивный. Адрес, по которому производится запись в стек, всегда на 1 больше, чем адрес, по которому выполняется чтение из стека. Эту задачу выполняет сумматор SM в режиме INCREMENT – увеличение на 1, приращение 1.

Если на выходах счетчика все нули, то схема ИЛИ даст сигнал «стек пуст». Если на выходах счетчика все единицы, то схема И даст сигнал «стек полон». По нулевому адресу записаны всегда самые несрочные данные.



Вопрос 26. Буфер First In – First Out (FIFO) – определения, структурные схемы, принципы работы. FIFO – FIRST IN FIRST OUT – первым вошел первым вышел (синоним очередь в столовой).

 

Запоминающие устройства служат для хранения очередей данных и называются также буферными регистрами.

 

 

Буфер типа FIFO ставится между источником и приемником данных, когда потери информации не допустимы, а приемник не может быстро обработать данные. Строится на основе регистровых файлов с раздельной адресацией записи и чтения.

Сигнал «поставить в очередь», поступая на вход WE разрешения записи, записывает этим данные, стоящие на входе DI в тот регистр памяти, номер которого, СТ1 – счетчик хвоста. По срезу этого импульса «поставить в очередь» выходной код СТ1 увеличивается на 1, определяя адрес записи следующих данных.

При сигнале «извлечь из очереди», поданном на RE – разрешения чтения, на выходе DO появляется слово, которое хранилось в регистре по адресу, указанному выходным кодом СТ2 – счетчика головы. По срезу импульса «извлечь из очереди» код этого счетчика СТ2 увеличивается на 1, подготавливая к чтению следующее слово.

Если коды на выходах счетчиков СТ1 хвоста и СТ2 головы раны, что определяет компаратор, то на выходе ЛЭ И1 будет сигнал «буфер полон», если последним обращением к буферу был импульс «поставить в очередь». Это значит, что больше записывать в буфер нельзя, надо срочно подключать еще один буфер FIFO. Если же при СТ1=СТ2 последним было «извлечь из очереди», то данный буфер FIFO пуст, и обращаться за данными к этому буферу нет смысла.

 

 


Вопрос 27. Преобразователь произвольных кодов. Определение и способы схемной реализации.

Преобразователем кодов называют кодирующее устройство, функции которого могут быть заданы только таблицей истинности.

 

входы выходы
а1а0 в2в1в0
0 0 0 1 1 0 1 1 1 0 0 0 0 1 0 1 1 0 0 0

 

Пример: Различают два способа схемной реализации преобразователя кодов.

1. Синтез независимых одновыходных функций

 

b0= ā1 a0+ a1 ā 0

b1= a1 ā 0

b2= ā 1 ā 0

 

2. Реализации таблицы истинности соединением дешифратора с шифратором. Поскольку выходы дешифратора и входы шифратора обозначаются не двоичным, а десятичным кодом, дописываем таблицу истинности в виде

А В

 

А затем соединяем выходы дешифратора с входами шифратора в соответствии с этой таблицей.

 

 


Вопрос 28. Постоянные запоминающие устройства (ПЗУ). Условное графическое обозначение, отличие от программируемых логических матриц. Три вида ПЗУ: ПЗУ, ППЗУ (программируемые ПЗУ), РПЗУ (репрограммируемые ПЗУ). Способы записи и стирания информации.

В ПЛМ используются неполные дешифраторы. Так, в К556 РТ1 из 16 входов дешифратора полного, который имеет 216 = 65536 логических элементов. И используется всего 48. Исторически первыми стали выпускаться микросхемы с полными дешифраторами на входе. Их называют постоянными запоминающими устройствами ПЗУ READ ONLY MEMORY – (память только для чтения) ROM. По принятой терминологии входной код ПЗУ называют адресом, а выходной – разрядами хранимого слова.

 

 

Емкость ПЗУ обычно описывают как произведение числа возможных слов, равного 2n, где n – число входов, на число разрядов выходного слова m, например, для КР 556 РТ1 емкость ……. (256х4).

Самые первые ПЗУ масочного типа программировались на заводе изготовителе в соответствии с маска закрывающей , или открывающей те или иные связи на входах л.э. ИЛИ. И сейчас выпускаются такие ИМС. Например, KISSRE 21 – преобразователь 6х разрядного входоного двоичного кода в 12 разрядный код управления матричным индикатором 5х7 типа АЛС 340А в соответствии буквами русского алфавита, РЕ 22 – в соответствии с цифрами десятичными от 0 до 9, алгебраическими и другими знаками. К568 РЕ 1 – таблица синусов.

Выпускаются программируемые ПЗУ(ППЗУ) - PROGRAMMABLE ROM, в которых выжигаемые перемычки позволяют потребителю самому внести информацию в микросхему так, как описано выше для ПЛМ.

Существуют репрограммируемые ПЗУ – РППЗУ EPROM – ERASABLE стираемое PROGRAMMABLE READ ONLY MEMORY. В них обеспечивается неоднократное программирование, т.к. нет выжигаемых бесповоротно перемычек. Время гарантированного сохранения информации бывает от нескольких месяцев до нескольких лет. Стирание чаще всего производится облучением кристалла микросхемы РППЗУ ультрафиолетовым светом через специальное стеклянное окошко сверху корпуса микросхемы. В остальное время окошко должно быть заклеено хотя бы изолентой, чтобы дневной или электрический свет не стер информацию.

 


Вопрос 29. Полиномиальный счетчик. Определение, схема.

 

Полиномиальным счетчиком называют пересчетную схему, полученную из сдвигающего регистра при введении в него обратных связей через сумматоры по модулю 2.

 

 

Пока на вход схемы не подается лог.1 и все триггеры в нулевом состоянии счетчик не реагирует на синхроимпульсы.

При подаче на вход 1 счетчик по очередному синхроимпульсу переходит в состояние 100 и далее, при нулевом входном сигнале, в каждый такт происходит смена состояний триггеров по некоторому закону.

Счетчик входит в цикл и генерирует последовательность состояний в соответствии с таблицей переключений длинной 7 тактов. Порядок смены нулей и единиц в последовательности и ее длина зависит от числа триггеров и того, между какими разрядами заведены обратные связи.

 

 

Для этого примера номера выходов, подключенных к обратной связи 3,1.

После окончания одной последовательности счетчик начинает генерировать вторую такую же, третью и т.д. до тех пор пока его триггеры не будут сброшены в ноль (на схеме цепь сбора не показана).

Некоторые обратные связи, как и в данном примере, обеспечивают формирование счетчиком из n триггеров последовательность максимальной длины, М-последовательность длиной 2n-1 тактов.

 


Вопрос 30. Импульсные генераторы на цифровых микросхемах: схема генератора с конденсатором в цепи обратной связи и временные диаграммы его работы; схема генератора на основе мультивибратора.

 








Не нашли, что искали? Воспользуйтесь поиском по сайту:



©2015 - 2024 stydopedia.ru Все материалы защищены законодательством РФ.